`timescale 1ns / 1ps // Description: This device performs convolution operation with a 3x3 kernel. ////////////////////////////////////////////////////////
Here is how I define the rom module module rom( input wire [31:0] inst_addr_i, output reg [31:0] inst_o ); reg [31:0] rom_mem[0:100];